kth.sePublications
Change search
CiteExportLink to record
Permanent link

Direct link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf
Novel postetch process to realize high quality photonic crystals in InP
KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
KTH, School of Electrical Engineering (EES), Sound and Image Processing.
KTH, School of Information and Communication Technology (ICT), Optics and Photonics, Quantum Electronics and Quantum Optics, QEO.ORCID iD: 0000-0003-2136-4914
Show others and affiliations
2011 (English)In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 29, no 3, p. 031202-Article in journal (Refereed) Published
Abstract [en]

Thermally driven reflow of material during annealing was positively used to obtain near-vertical sidewall profiles for high-aspect-ratio nanostructures in InP fabricated by dry etching. This is very promising for achieving high optical quality in photonic crystal (PhC) components. Nearly cylindrical profiles were obtained for high-aspect-ratio PhC holes with diameters as small as 200350 nm. Mini stop bands (MSBs) in line-defect PhC waveguides were experimentally investigated for both as-etched and reshaped hole geometries, and their spectral characteristics were used to assess the quality of PhC fabrication. The spectral characteristics of the MSB in PhC waveguides with reshaped holes showed significant improvement in performance with a transmission dip as deep as 35 dB with sharp edges dropping in intensity more than 30 dB for similar to 4 nm of wavelength change. These results show potential for using high extinction drop-filters in InP-based monolithic photonic integrated circuit applications. Finally, it is proposed that other nanostructure geometries may also benefit from this reshaping process.

Place, publisher, year, edition, pages
2011. Vol. 29, no 3, p. 031202-
Keywords [en]
MINI-STOP-BAND; WAVE-GUIDES; SLOW-LIGHT; LASER; FABRICATION
National Category
Other Electrical Engineering, Electronic Engineering, Information Engineering
Identifiers
URN: urn:nbn:se:kth:diva-35123DOI: 10.1116/1.3574760ISI: 000291111300007Scopus ID: 2-s2.0-79958096444OAI: oai:DiVA.org:kth-35123DiVA, id: diva2:426497
Note
QC 20110623Available from: 2011-06-23 Created: 2011-06-20 Last updated: 2024-03-15Bibliographically approved
In thesis
1. Technology and properties of InP-based photonic crystal structures and devices
Open this publication in new window or tab >>Technology and properties of InP-based photonic crystal structures and devices
2012 (English)Doctoral thesis, comprehensive summary (Other academic)
Abstract [en]

Photonic crystals (PhCs) are periodic dielectric structures that exhibit a photonic band gap; a range of wavelengths for which light propagation is forbidden. 2D PhCs exhibit most of the properties as their three dimension counterparts with a compatibility with standard semiconductor processing techniques such as epitaxial growth, electron beam lithography, Plasma deposition/etching and electromechanical lapping/polishing. Indium Phosphide (InP) is the material of choice for photonic devices especially when it comes to realization of coherent light source at 1.55 μm wavelength. Precise engineering of the nanostructures in the PhC lattice offers novel ways to confine, guide and control light in phonic integrated circuits (PICs). Strong confinement of light in PhCs offer novel opportunities in many areas of physics and engineering.

Dry etching, a necessary process step in PhC device manufacturing, is known to introduce damage in the etched material. Process induced damage and its impact on the electrical and optical properties of PhCs depends on the etched material, the etching technique and process parameters. We have demonstrated a novel post-etch process based on so-called mass-transport (MT) technology for the first time on InP-based PhCs that has significantly improved side-wall verticality of etched PhC holes. A statistical analysis performed on several devices fabricated by MT process technology shows a great deal of improvement in the reliability of optical transmission characteristics which is very promising for achieving high optical quality in PhC components. Several PhC devices were manufactured using MT technology.

Broad enough PhC waveguides that operate in the mono/multi-mode regime are interesting for coarse wavelength de-multiplexing. The fundamental mode and higher order mode interaction creates mini-stop band (MSB) in the dispersion diagram where the higher order mode has a lower group velocity which can be considered as slow light regime. In this thesis work, the phenomena of MSBs and its impact on transmission properties have been evaluated. We have proposed and demonstrated a method that enables spectral tuning with sub-nanometer accuracy which is based on the transmission MSB. Along the same lines most of the thesis work relates to broad enough PhC guides that operated in the multimode regime. Temperature tuning experiments on these waveguides reveals a clear red-shift with a gradient of dλ/dT=0.1 nm/˚C. MSBs in these waveguides have been studied by varying the width in incremental amounts.

Analogous to semiconductors heterostructures, photonic heterostructures are composed of two photonic crystals with different band-gaps obtained either by changing the air-fill factor or by the lattice constant. Juxtaposing two PhC and the use of heterostructures in waveguide geometry has been experimentally investigated in this thesis work. In particular, in multimode line defect waveguides the “internal” MSB effect brings a new dimension in single junction-type photonic crystal waveguide (JPCW) and heterostructure W3 (HW3) for fundamental physics and applications. We have also fabricated an ultra-compact polarization beam splitter (PBS) realized by combining a multimode waveguide with internal PhC. MSBs in heterostructure waveguides have shown interesting applications such as designable band-pass flat-top filters, and resonance-like filters with high transmission.

In the course of this work, InGaAsP suspended membrane technology was developed. An H2 cavity with a linewidth of ~0.4 nm, corresponding to a Q value of ~3675 has been shown. InGaAsP PhC membrane is an ideal platform to study coupled quantum well/dot-nanocavity system.

Place, publisher, year, edition, pages
Stockholm: KTH Royal Institute of Technology, 2012. p. xii, 64
Series
Trita-ICT/MAP AVH, ISSN 1653-7610 ; 2012:14
Keywords
Integrated optics materials, Photonic crystals, Planar waveguides, Dispersion, Band-gap, Mode-gap, mini-stopband, InP, Nanostructure fabrication, dry etching, mass transport; material reflow; reliability
National Category
Engineering and Technology Natural Sciences
Research subject
SRA - ICT
Identifiers
urn:nbn:se:kth:diva-101662 (URN)978-91-7501-442-5 (ISBN)
Public defence
2012-09-17, Sal C2, Electrum 229 Isafjordsgatan 22-26, Kista, 10:00 (English)
Opponent
Supervisors
Funder
Swedish Research Council
Note

QC 20120831

Available from: 2012-08-31 Created: 2012-08-30 Last updated: 2022-09-13Bibliographically approved

Open Access in DiVA

No full text in DiVA

Other links

Publisher's full textScopus

Authority records

Swillo, MarcinAnand, Srinivasan

Search in DiVA

By author/editor
Shahid, NaeemNaureen, ShaguftaLi, Min YueSwillo, MarcinAnand, Srinivasan
By organisation
Semiconductor Materials, HMASound and Image ProcessingQuantum Electronics and Quantum Optics, QEO
In the same journal
Journal of Vacuum Science & Technology B
Other Electrical Engineering, Electronic Engineering, Information Engineering

Search outside of DiVA

GoogleGoogle Scholar

doi
urn-nbn

Altmetric score

doi
urn-nbn
Total: 285 hits
CiteExportLink to record
Permanent link

Direct link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf