kth.sePublications
Change search
CiteExportLink to record
Permanent link

Direct link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf
Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching
KTH, School of Electrical Engineering (EES), Microsystem Technology.ORCID iD: 0000-0001-9008-8402
KTH, School of Electrical Engineering (EES), Microsystem Technology.ORCID iD: 0000-0003-3452-6361
KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.ORCID iD: 0000-0001-6459-749X
KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.ORCID iD: 0000-0002-5332-1874
Show others and affiliations
2012 (English)In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 30, no 6, p. 06FF05-Article in journal (Refereed) Published
Abstract [en]

The authors study suitable process parameters, and the resulting pattern formation, in additive layer-by-layer fabrication of arbitrarily shaped three-dimensional (3D) silicon (Si) micro- and nanostructures. The layer-by-layer fabrication process investigated is based on alternating steps of chemical vapor deposition of Si and local implantation of gallium ions by focused ion beam writing. In a final step, the defined 3D structures are formed by etching the Si in potassium hydroxide, where the ion implantation provides the etching selectivity.

Place, publisher, year, edition, pages
American Vacuum Society , 2012. Vol. 30, no 6, p. 06FF05-
Keywords [en]
chemical vapour deposition, elemental semiconductors, etching, focused ion beam technology, gallium, ion implantation, nanofabrication, nanopatterning, nanostructured materials, semiconductor doping, semiconductor growth, silicon
National Category
Other Electrical Engineering, Electronic Engineering, Information Engineering
Identifiers
URN: urn:nbn:se:kth:diva-105841DOI: 10.1116/1.4756947ISI: 000311667300061Scopus ID: 2-s2.0-84870358953OAI: oai:DiVA.org:kth-105841DiVA, id: diva2:572471
Projects
M&Ms
Funder
EU, European Research Council, FP7-ERC-MM's 277879
Note

QC 20121129

Available from: 2012-11-29 Created: 2012-11-27 Last updated: 2024-03-18Bibliographically approved
In thesis
1. Integration and Fabrication Techniques for 3D Micro- and Nanodevices
Open this publication in new window or tab >>Integration and Fabrication Techniques for 3D Micro- and Nanodevices
2012 (English)Doctoral thesis, comprehensive summary (Other academic)
Abstract [en]

The development of micro and nano-electromechanical systems (MEMS and NEMS) with entirely new or improved functionalities is typically based on novel or improved designs, materials and fabrication methods. However, today’s micro- and nano-fabrication is restrained by manufacturing paradigms that have been established by the integrated circuit (IC) industry over the past few decades. The exclusive use of IC manufacturing technologies leads to limited material choices, limited design flexibility and consequently to sub-optimal MEMS and NEMS devices. The work presented in this thesis breaks new ground with a multitude of novel approaches for the integration of non-standard materials that enable the fabrication of 3D micro and nanoelectromechanical systems. The objective of this thesis is to highlight methods that make use of non-standard materials with superior characteristics or methods that use standard materials and fabrication techniques in a novel context. The overall goal is to propose suitable and cost-efficient fabrication and integration methods, which can easily be made available to the industry.

The first part of the thesis deals with the integration of bulk wire materials. A novel approach for the integration of at least partly ferromagnetic bulk wire materials has been implemented for the fabrication of high aspect ratio through silicon vias. Standard wire bonding technology, a very mature back-end technology, has been adapted for yet another through silicon via fabrication method and applications including liquid and vacuum packaging as well as microactuators based on shape memory alloy wires. As this thesis reveals, wire bonding, as a versatile and highly efficient technology, can be utilized for applications far beyond traditional interconnections in electronics packaging.

The second part presents two approaches for the 3D heterogeneous integration based on layer transfer. Highly efficient monocrystalline silicon/ germanium is integrated on wafer-level for the fabrication of uncooled thermal image sensors and monolayer-graphene is integrated on chip-level for the use in diaphragm-based pressure sensors.

The last part introduces a novel additive fabrication method for layer-bylayer printing of 3D silicon micro- and nano-structures. This method combines existing technologies, including focused ion beam implantation and chemical vapor deposition of silicon, in order to establish a high-resolution fabrication process that is related to popular 3D printing techniques.

Place, publisher, year, edition, pages
Stockholm: KTH Royal Institute of Technology, 2012. p. xv, 91
Series
TRITA-EE, ISSN 1653-5146 ; 2013:001
Keywords
Microelectromechanical systems, MEMS, Nanoelectromechanical systems, NEMS, silicon, wafer-level, chip-level, through silicon via, TSV, packaging, 3D packaging, vacuum packaging, liquid encapsulation, integration, heterogeneous integration, wafer bonding, microactuators, shape memory alloy, SMA, wire bonding, magnetic assembly, self-assembly, 3D, 3D printing, focused ion beam, FIB
National Category
Engineering and Technology
Identifiers
urn:nbn:se:kth:diva-107125 (URN)978-91-7501-583-5 (ISBN)
Public defence
2013-01-18, F3, Lindstedtsvägen 26, KTH, Stockholm, 10:00 (English)
Opponent
Supervisors
Note

QC 20121207

Available from: 2012-12-07 Created: 2012-12-06 Last updated: 2022-06-24Bibliographically approved

Open Access in DiVA

fulltext(1000 kB)622 downloads
File information
File name FULLTEXT01.pdfFile size 1000 kBChecksum SHA-512
0bcbbe414b6ca560ad7801a88663ac11705a2fc0107544a1520857ed1b946fe38c15ebf3f082d89401bfacebf7149ac0b453bc0f5c014195f8adc176b46aba99
Type fulltextMimetype application/pdf

Other links

Publisher's full textScopusPublisher's website

Authority records

Gylfason, Kristinn B.Fischer, Andreas C.Gunnar Malm, B. GunnarRadamson, Henry H.Belova, Lyubov M.Niklaus, Frank

Search in DiVA

By author/editor
Gylfason, Kristinn B.Fischer, Andreas C.Gunnar Malm, B. GunnarRadamson, Henry H.Belova, Lyubov M.Niklaus, Frank
By organisation
Microsystem TechnologyIntegrated Devices and CircuitsEngineering Material Physics
In the same journal
Journal of Vacuum Science & Technology B
Other Electrical Engineering, Electronic Engineering, Information Engineering

Search outside of DiVA

GoogleGoogle Scholar
Total: 622 downloads
The number of downloads is the sum of all downloads of full texts. It may include eg previous versions that are now no longer available

doi
urn-nbn

Altmetric score

doi
urn-nbn
Total: 251 hits
CiteExportLink to record
Permanent link

Direct link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf