kth.sePublications
Change search
Refine search result
1 - 40 of 40
CiteExportLink to result list
Permanent link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf
Rows per page
  • 5
  • 10
  • 20
  • 50
  • 100
  • 250
Sort
  • Standard (Relevance)
  • Author A-Ö
  • Author Ö-A
  • Title A-Ö
  • Title Ö-A
  • Publication type A-Ö
  • Publication type Ö-A
  • Issued (Oldest first)
  • Issued (Newest first)
  • Created (Oldest first)
  • Created (Newest first)
  • Last updated (Oldest first)
  • Last updated (Newest first)
  • Disputation date (earliest first)
  • Disputation date (latest first)
  • Standard (Relevance)
  • Author A-Ö
  • Author Ö-A
  • Title A-Ö
  • Title Ö-A
  • Publication type A-Ö
  • Publication type Ö-A
  • Issued (Oldest first)
  • Issued (Newest first)
  • Created (Oldest first)
  • Created (Newest first)
  • Last updated (Oldest first)
  • Last updated (Newest first)
  • Disputation date (earliest first)
  • Disputation date (latest first)
Select
The maximal number of hits you can export is 250. When you want to export more records please use the Create feeds function.
  • 1. ANDERSEN, JN
    et al.
    WIGREN, C
    KARLSSON, Ulf O
    KTH, School of Information and Communication Technology (ICT).
    SURFACE RELATED CORE LEVEL SHIFTS FOR THE SI(111)SQUARE-ROOT-3X SQUARE-ROOT-3 - AL SYSTEM1991In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 9, no 4, p. 2384-2387Article in journal (Refereed)
    Abstract [en]

    The Si(111) square-root 3 x square-root 3:Al reconstruction has been studied by surface sensitive high resolution core level spectroscopy. It is shown that three components are needed to fit the Si 2p spectra. The Al2p emission is found to consist of more than one component and it is argued that this is related to defects in the overlayer.

  • 2.
    Awan, Kashif Masud
    et al.
    KTH, School of Information and Communication Technology (ICT).
    Sanatinia, Reza
    KTH, School of Information and Communication Technology (ICT), Materials- and Nano Physics, Semiconductor Materials, HMA.
    Anand, Srinivasan
    KTH, School of Information and Communication Technology (ICT), Materials- and Nano Physics, Semiconductor Materials, HMA.
    Nanostructuring of GaAs with tailored topologies using colloidal lithography and dry etching2014In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 32, no 2, p. 021801-Article in journal (Refereed)
    Abstract [en]

    The authors report on the fabrication of GaAs nanopillars with different profiles/topologies using colloidal lithography and dry etching. GaAs nanopillars with different shapes and dimensions were successfully fabricated using inductively coupled plasma reactive ion etching. Two different etch chemistries CH4/H-2/Cl-2 and Ar/Cl-2 were investigated. The fabricated nanopillar arrays had a typical period of similar to 500 nm, and the depths could be varied from a few nanometers to 4 mu m. The CH4/H-2/Cl-2 chemistry with optimized gas flows and plasma powers is shown to produce nanopillars with smooth sidewalls compared to those fabricated with the Ar/Cl-2 chemistry. The GaAs nanopillar arrays have appreciably lower reflectivities in the measured wavelength range from 400 to 850 nm and are typically one order of magnitude lower compared to planar GaAs, which shows their potential for photovoltaic applications.

  • 3. Bell, David C.
    et al.
    Lemme, Max C.
    Harvard University, Department of Physics.
    Stern, Lewis A.
    Marcus, Charles M.
    Precision material modification and patterning with He ions2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 6, p. 2755-2758Article in journal (Refereed)
    Abstract [en]

    The authors report on the use of a helium ion microscope as a potential technique for precise nanopatterning. Combined with an automated pattern generation system, they demonstrate controlled etching and patterning of materials, giving precise command over the geometery of the modified nanostructure. After the determination of suitable doses, sharp edge profiles and clean etching of areas in materials were observed. In this article they present examples of patterning on SiO(2) and graphene, which is particularly relevant. This technique could be an avenue for precise material modification for future graphene based device fabrication. The technique has the potential to revolutionize the way that very thin, one-atomic layer materials are modified in a controlled and predictable way.

  • 4.
    Berrier, Audrey
    et al.
    KTH, School of Information and Communication Technology (ICT), Microelectronics and Applied Physics, MAP.
    Mulot, Mikaël
    KTH, School of Information and Communication Technology (ICT), Microelectronics and Applied Physics, MAP.
    Anand, Srinivasan
    KTH, School of Information and Communication Technology (ICT), Microelectronics and Applied Physics, MAP.
    Talneau, A.
    CNRS, LPN.
    Ferrini, R.
    Ecole Polytech Fed Lausanne, Lab Optoelect Mat Mol.
    Houdre, R.
    Ecole Polytech Fed Lausanne, Inst Photon & Elect Quant.
    Characterization of the feature-size dependence in Ar/Cl2 chemically assisted ion beam etching of InP-based photonic crystal devices2007In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 25, no 1, p. 1-10Article in journal (Refereed)
    Abstract [en]

    The authors address feature-size dependence in Ar/Cl-2, chemically assisted ion beam etching (CAIBE) in the context of the fabrication of photonic crystal (PhC) structures. They systematically investigate the influence of various parameters such as hole diameter (115-600 nm), etch duration (10-60 min), and ion beam energy (300-600 eV) on PhC etching in InP with Ar/Cl-2, CAIBE. For a 60 min etching at an Ar-ion energy of 400 eV, the authors report an etch depth of 5 mu m for hole diameters d larger than 300 nm; the etch depth is in excess of 3 mu m for d larger than 200 nm. The evolution of roughness at the bottom of the etched holes and its dependence on hole size and etching conditions,is discussed. The physical mechanism of the observed feature-size dependent etching (FSDE) is then discussed and the effect of the process parameters is qualitatively understood using a model combining the effect of ion sputtering and surface chemical reactions. Finally, the effect of FSDE on the PhC optical properties is assessed by measuring the quality factor of one-dimensional Fabry-Perot PhC cavities. The measured quality factors show a clear trend with the etch depth: the cavity Q increases as the etch depth increases.

  • 5.
    Berrier, Audrey
    et al.
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Shi, Yaocheng
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA. KTH, School of Information and Communication Technology (ICT), Centres, Zhejiang-KTH Joint Research Center of Photonics, JORCEP.
    Siegert, Jörg
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Marcinkevicius, Saulius
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    He, Sailing
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA. KTH, School of Information and Communication Technology (ICT), Centres, Zhejiang-KTH Joint Research Center of Photonics, JORCEP.
    Anand, Srinivasan
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Accumulated sidewall damage in dry etched photonic crystals2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 4, p. 1969-1975Article in journal (Refereed)
    Abstract [en]

    Evidence for accumulated damage is provided by investigating the effect of etch duration on the carrier lifetime of an InGaAsP quantum well (QW) inside the InP-based photonic crystal (PhC) structures. It is found that once the quantum well is etched through, additional etching reduces the carrier lifetimes from 800 to 70 ps. The surface recombination velocity (SRV) at the exposed hole sidewalls is determined from the measured carrier lifetimes of the PhC fields with different lattice parameters. The observed variation in the SRV with etch duration also confirms the presence of accumulated sidewall damage. It increases from 6x10(3) to 1.2x10(5) cm s(-1) as the etching time increases from 3 to 50 min. A geometric model based on sputtering theory and on the evolution of the hole shape is developed to explain the accumulation of sidewall damage. The model is used to estimate the number of impact events from sputtered species reaching the QW sidewalls, and the variation in the accumulated impact events with etch duration is shown to be qualitatively consistent with the experimental observations. Finally, the results suggest a new method for tailoring the carrier lifetimes in PhC membrane structures.

  • 6. Carlstrom, C. F.
    et al.
    Anand, Srinivasan
    KTH, Superseded Departments (pre-2005), Electronics.
    Characterization of damage in InP dry etched using nitrogen containing chemistries2001In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 19, no 5, p. 1905-1910Article in journal (Refereed)
    Abstract [en]

    Etching of InP by different ion beam etching processes using chemistries containing nitrogen, such as nitrogen milling, N(CH3)(3) and Ar/N(CH3)(3) based reactive ion beam etching (RIBS), and N-2/CH4/H-2 based chemically assisted ion beam etching (CAIBE), is investigated. The residual surface damage is characterized using I-V characteristics of Au/InP metal-semiconductor (MS) contacts and photoluminescence (PL) yield measurements from near surface quantum wells and bulls InP. The contacts formed on as-etched surfaces, irrespective of the etch process, show ohmic behavior. On the other hand, although the PL yield is reduced for the different processes, the measured intensities show variations which are attributed to passivation of defects by hydrogen. Secondary ion mass spectroscopy (SIMS) was used to check (qualitatively) the presence of nitrogen in the etched and annealed InP samples. SIMS data show the presence of nitrogen in the near surface region of the etched samples, but nitrogen levels drop to background levels upon annealing at typical regrowth conditions (650 degreesC under phosphine flow). Interestingly, the annealing step results not only in a significant recovery of the PL yield, but also in a recovery of Schottky characteristics of the Au contacts formed on the annealed surfaces. These observations show that the etch-induced damage is significantly reduced by annealing and suggests recovery of the near-surface stoichiometry and possibly reduction of nitrogen-related defects.

  • 7. Chang, Tzu-Hsuan
    et al.
    Fan, Wenjuan
    Liu, Dong
    Xia, Zhenyang
    Ma, Zhenqiang
    Liu, Shihchia
    Menon, Laxmy
    Yang, Hongjun
    Zhou, Weidong
    Berggren, Jesper
    KTH, School of Information and Communication Technology (ICT).
    Hammar, Mattias
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Selective release of InP heterostructures from InP substrates2016In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 34, no 4, article id 041229Article in journal (Refereed)
    Abstract [en]

    The authors report here a method of protecting the sidewall for the selective release of InGaAsP quantum-well (QW) heterostructure from InP substrates. An intact sidewall secured by SiO2 was demonstrated during the sacrificial layer selective etching, resulting in the suspended InGaAsP QW membranes which were later transferred to the Si substrate with polydimethylsiloxane stamp. The quality of the transferred InGaAsP QW membranes has been validated through photoluminescence and EL measurements. This approach could extend to arbitrary targeting substrate in numerous photonics and electronics applications.

  • 8. Douheret, O.
    et al.
    Bonsels, S.
    Anand, Srinivasan
    KTH, School of Information and Communication Technology (ICT), Microelectronics and Information Technology, IMIT.
    Determination of spatial resolution in atomic-force-microscopy-based electrical characterization techniques using quantum well structures2005In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 23, no 1, p. 61-65Article in journal (Refereed)
    Abstract [en]

    In this work, a procedure to determine the spatial resolution in scan ning capacitance (SCM) and scanning spreading resistance microscopy (SSRM) is proposed and demonstrated. It is based on profiling of confined carriers (in cross section) in quantum well (QW) structures consisting of QWs with different well widths and interwell spacing. Spatial resolution of sub-5 nm was observed for SSRM with commercially available diamond-coated silicon probes and sub-30 nm for SCM with IrPt5-coated probes. The influence of experimental parameters such as tip-sample bias and tip averaging on lateral resolution is discussed and appropriate measurement conditions for performing high-resolution measurements are highlighted. Finally, it is proposed that such structures can be used not only to select probes appropriate for high resolution measurements, but also in the development of new probes.

  • 9. Fuchs, A.
    et al.
    Bender, M.
    Plachetka, U.
    Kock, L.
    Wahlbrink, T.
    Gottlob, H. D. B.
    Efavi, J. K.
    Moeller, M.
    Schmidt, M.
    Mollenhauer, T.
    Moormann, C.
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Kurz, H.
    Nanowire fin field effect transistors via UV-based nanoimprint lithography2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 6, p. 2964-2967Article in journal (Refereed)
    Abstract [en]

    A triple step alignment process for UV nanoimprint lithography (UV-NIL) for the fabrication of nanoscale fin field effect transistors (FinFETs) is presented. An alignment accuracy is demonstrated between two functional layers of less than 20 nm (3 sigma). The electrical characterization of the FinFETs fabricated by a full NIL process demonstrates the potential of UV-NIL for future nanoelectronic devices.

  • 10. Gottlob, H D B
    et al.
    Mollenhauer, T
    Wahlbrink, T
    Schmidt, M
    Echtermeyer, T
    Efavi, J K
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Kurz, H
    Scalable gate first process for silicon on insulator metal oxide semiconductor field effect transistors with epitaxial high-k dielectrics2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 2, p. 710-714Article in journal (Refereed)
    Abstract [en]

    A "gate first" silicon on insulator (SOI) complementary metal oxide semiconductor process technology for direct evaluation of epitaxial gate dielectrics is described, where the gate stack is fabricated prior to any lithography or etching step. This sequence provides perfect silicon surfaces required for epitaxial growth. The inverted process flow with silicon dioxide (SiO2)/polysilicon gate stacks is demonstrated for gate lengths from 10 mu m down to 40 nm on a fully depleted 25 nm thin SOI film. The interface qualities at the front and back gates are investigated and compared to conventionally processed SOI devices. Furthermore, the subthreshold behavior is studied and the scalability of the gate first approach is proven by fully functional sub-100 nm transistors. Finally, a fully functional gate first metal oxide semiconductor field effect transistor with the epitaxial high-k gate dielectric gadolinium oxide (Gd2O3) and titanium nitride (TiN) gate electrode is presented.

  • 11. Gottlob, H. D. B.
    et al.
    Stefani, A.
    Schmidt, M.
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Kurz, H.
    Mitrovic, I. Z.
    Werner, M.
    Davey, W. M.
    Hall, S.
    Chalker, P. R.
    Cherkaoui, K.
    Hurley, P. K.
    Piscator, J.
    Engström, O.
    Newcomb, S. B.
    Gd silicate: A high-k dielectric compatible with high temperature annealing2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 1, p. 249-252Article in journal (Refereed)
    Abstract [en]

    The authors report on the investigation of amorphous Gd-based silicates as high-k dielectrics. Two different stacks of amorphous gadolinium oxide (Gd(2)O(3)) and silicon oxide (SiO(2)) on silicon substrates are compared after annealing at temperatures up to 1000 degrees C. Subsequently formed metal oxide semiconductor capacitors show a significant reduction in the capacitance equivalent thicknesses after annealing. Transmission electron microscopy, medium energy ion scattering, and x-ray diffraction analysis reveal distinct structural changes such as consumption of the SiO(2) layer and formation of amorphous Gd silicate. The controlled formation of Gd silicates in this work indicates a route toward high-k dielectrics compatible with conventional, gate first complementary metal-oxide semiconductor integration schemes.

  • 12.
    Gylfason, Kristinn B.
    et al.
    KTH, School of Electrical Engineering (EES), Microsystem Technology.
    Fischer, Andreas C.
    KTH, School of Electrical Engineering (EES), Microsystem Technology.
    Gunnar Malm, B. Gunnar
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Radamson, Henry H.
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Belova, Lyubov M.
    KTH, School of Industrial Engineering and Management (ITM), Materials Science and Engineering, Engineering Material Physics.
    Niklaus, Frank
    KTH, School of Electrical Engineering (EES), Microsystem Technology.
    Process considerations for layer-by-layer 3D patterning of silicon, using ion implantation, silicon deposition, and selective silicon etching2012In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 30, no 6, p. 06FF05-Article in journal (Refereed)
    Abstract [en]

    The authors study suitable process parameters, and the resulting pattern formation, in additive layer-by-layer fabrication of arbitrarily shaped three-dimensional (3D) silicon (Si) micro- and nanostructures. The layer-by-layer fabrication process investigated is based on alternating steps of chemical vapor deposition of Si and local implantation of gallium ions by focused ion beam writing. In a final step, the defined 3D structures are formed by etching the Si in potassium hydroxide, where the ion implantation provides the etching selectivity.

    Download full text (pdf)
    fulltext
  • 13. Henschel, W
    et al.
    Wahlbrink, T
    Geogriev, Y M
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Mollenhauer, T
    Vratzov, B
    Fuchs, A
    Kurz, H
    Fabrication of 12 nm electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator substrates2003In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 21, no 6, p. 2975-2979Article in journal (Refereed)
    Abstract [en]

    Electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator have been fabricated to evaluate the suitability of fabrication processes on a nanoscale. In addition, the limits of scalability have been explored reducing gate lengths down to 12 nm. Specific attention has been paid to the overlay accuracy as required for the fabrication of these double gate structures. The superior quality of hydrogen silsesquioxane (HSQ) as electron beam resist and as mask material is demonstrated. The transistor fabricated exhibits extremely low leakage currents and relatively high on currents. The 8 orders of magnitude difference between the on and off states demonstrates conclusively large potentials for metal-oxide-semiconductor structures with critical dimensions in the 10 nm regime. (C) 2003 American Vacuum Society.

  • 14.
    Holmberg, Anders
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Lindblom, Magnus
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Controlled electroplating for high-aspect-ratio zone plate fabrication2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 6, p. 2592-2596Article in journal (Refereed)
    Abstract [en]

    The authors report a method for monitoring, control, and end-point detection of electroplating in nanostructures. The method is demonstrated on nickel plating into polymer molds, which is an important process in the fabrication of soft x-ray zone-plate diffractive optics. The lack of reproducibility presently limits the achievable nickel aspect ratio and, thus, reduces the zone-plate diffraction efficiency. The reported method provides reproducible plating via real-time control of the plating rate. It combines in situ light transmission measurements with current measurements to determine the thickness of the growing layer. The accuracy of the thickness prediction was better than ±4% (1) for 100–300  nm nickel layers. Furthermore, a slight change in the light transmission signal indicates when a gratinglike zone-plate structure is slightly overplated and the plating should be stopped. This end-point detection provides the optimal filling of high-aspect-ratio molds for improved diffraction efficiency.

  • 15. Jablonka, Lukas
    et al.
    Kubart, Tomas
    Primetzhofer, Daniel
    Abedin, Ahmad
    KTH, School of Information and Communication Technology (ICT), Electronics, Integrated devices and circuits.
    Hellström, Per-Erik
    KTH, School of Information and Communication Technology (ICT).
    Östling, Mikael
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Jordan-Sweet, Jean
    Lavoie, Christian
    Zhang, Shi-Li
    Zhang, Zhen
    Formation of nickel germanides from Ni layers with thickness below 10 nm2017In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 35, no 2, article id 020602Article in journal (Refereed)
    Abstract [en]

    The authors have studied the reaction between a Ge (100) substrate and thin layers of Ni ranging from 2 to 10 nm in thickness. The formation of metal-rich Ni5Ge3 was found to precede that of the monogermanide NiGe by means of real-time in situ x-ray diffraction during ramp-annealing and ex situ x-ray pole figure analyses for phase identification. The observed sequential growth of Ni5Ge3 and NiGe with such thin Ni layers is different from the previously reported simultaneous growth with thicker Ni layers. The phase transformation from Ni5Ge3 to NiGe was found to be nucleationcontrolled for Ni thicknesses < 5 nm, which is well supported by thermodynamic considerations. Specifically, the temperature for the NiGe formation increased with decreasing Ni (rather Ni5Ge3) thickness below 5 nm. In combination with sheet resistance measurement and microscopic surface inspection of samples annealed with a standard rapid thermal processing, the temperature range for achieving morphologically stable NiGe layers was identified for this standard annealing process. As expected, it was found to be strongly dependent on the initial Ni thickness.

  • 16. Kuepper, David
    et al.
    Kuepper, Daniel
    Wahlbrink, Thorsten
    Bolten, Jens
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Georgiev, Yordan M.
    Kurz, Heinrich
    Megasonic-assisted development of nanostructures2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 4, p. 1827-1832Article in journal (Refereed)
    Abstract [en]

    The effect of high frequency (1 MHz) acoustic agitation (megasonic agitation) on development of electron beam exposed poly(methylmethacrylate) (PMMA) nanostructures is investigated. Test patterns consisting of dense holes, isolated lines, and gratings with high aspect ratios have been used. Compared to conventional dip development, the sensitivity of the development process is increased and the homogeneity of nanopatterns is improved considerably. Furthermore, experiments towards ultimate aspect ratios and resolution of PMMA in the range of 2 - 3 ran with megasonically assisted development have been carried out. The physical mechanisms for the observed enhanced development performance which is particularly attractive for nanostructuring are discussed. (c) 2006 American Vacuum Society.

  • 17. Kupper, D
    et al.
    Wahlbrink, T
    Henschel, W
    Bolten, J
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Georgiev, Y M
    Kurz, H
    Impact of supercritical CO(2) drying on roughness of hydrogen silsesquioxane e-beam resist2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 2, p. 570-574Article in journal (Refereed)
    Abstract [en]

    Surface roughness (SR) and, especially, the closely related line-edge roughness (LER) of nanostructures are important issues in advanced lithography. In this study, the origin of surface roughness in the negative tone electron resist hydrogen silsesquioxane is shown to be associated with polymer aggregate extraction not only during resist development but also during resist drying. In addition, the impact of exposure dose and resist development time on SR is clarified. Possibilities to reduce SR and LER of nanostructures by optimizing resist rinsing and drying are evaluated. A process of supercritical CO(2) resist drying that delivers remarkable reduction of roughness is presented. (c) 2006 American Vacuum Society.

  • 18. Leerungnawarat, P.
    et al.
    Hays, D. C.
    Cho, H.
    Pearton, S. J.
    Strong, R. M.
    Zetterling, Carl-Mikael
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Östling, Mikael
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Via-hole etching for SiC1999In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 17, p. 2050-2054Article in journal (Refereed)
    Abstract [en]

    Four different F2-based plasma chemistries for high-rate etching of SiC under inductively coupled plasma (ICP) conditions were examined. Much higher rates (up to 8000 #x2009; #xc5; #x2009;min-1) were achieved with NF3 and SF6 compared with BF3 and PF5, in good correlation with their bond energies and their dissociation efficiency in the ICP source. Three different materials (Al, Ni, and indium #x2013;tin oxide) were compared as possible masks during deep SiC etching for through-wafer via holes. Al appears to produce the best etch resistance, particularly when O2 is added to the plasma chemistry. With the correct choice of plasma chemistry and mask material, ICP etching appears to be capable of producing via holes in SiC substrates. #xa9; 1999 American Vacuum Society.

  • 19.
    Lemme, Max C.
    et al.
    AMO GmbH, AMICA, Aachen, Germany.
    Gottlob, H. D. B.
    Echtermeyer, T. J.
    Schmidt, M.
    Kurz, H.
    Endres, R.
    Schwalke, U.
    Czernohorkky, M.
    Tetzlaff, D.
    Osten, H. J.
    Complementary metal oxide semiconductor integration of epitaxial Gd(2)O(3)2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 1, p. 258-261Article in journal (Refereed)
    Abstract [en]

    In this paper, epitaxial gadolinium oxide (Gd(2)O(3)) is reviewed as a potential high-K gate dielectric, both "as deposited" by molecular beam epitaxy as well as after integration into complementary metal oxide semiconductor (CMOS) processes. The material shows promising intrinsic properties, meeting critical ITRS targets for leakage current densities even at subnanometer equivalent oxide thicknesses. These epitaxial oxides can be integrated into a CMOS platform by a "gentle" replacement gate process. While high temperature processing potentially degrades the material, a route toward thermally stable epitaxial Gd(2)O(3) gate dielectrics is explored by carefully controlling the annealing conditions.

  • 20.
    Lindblom, Magnus
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Pulse reverse electroplating for uniform nickel height in zone plates2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, p. 2848-Article in journal (Refereed)
    Abstract [en]

    Nickelsoft x-ray zone plates are fabricated by through-mask electroplating. Theauthors report on how a uniform nickel thickness can beobtained over the entire zone plate using pulse and pulsereverse plating. If the plating is carried out at aconstant current the nickel thickness has been observed to decreasewith radius. This results in lower outer zones and reduceddiffraction efficiency in the outer parts of the zone plates.Here they show that the height profile can be controlledby adjusting the current density of the pulses. A highcurrent density is found to primarily affect the edges whilea low current density was observed to affect the centralparts of the structures. This is true for both cathodicand anodic currents, which means that local plating and dissolutionrates can be adjusted to obtain a uniform mass distribution.

  • 21.
    Lindblom, Magnus
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Reinspach, Julia
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Bertilson, Michael
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    von Hofsten, Olov
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Hertz, Hans
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Nickel-germanium soft x-ray zone plates2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 3, p. L5-L7Article in journal (Refereed)
    Abstract [en]

    This article presents a fabrication process for soft x-ray zone plates in which nickel and germanium are combined to achieve high diffraction efficiency. A nickel zone plate is first fabricated on a germanium film and then used as a hardmask for a CHF3-plasma etch into the germanium. Zone plates with 50-60 nm nickel and 110-150 nm of germanium are presented. The measured diffraction efficiencies were 10%-11% at lambda=2.88 nm, which shows that high efficiency is possible even with thin nickel. Thus, the method has a potential for improving the efficiency of high-resolution zone plates for which the high-aspect-ratio structuring of nickel is difficult.

  • 22.
    Lindblom, Magnus
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Reinspach, Julia
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    von Hofsten, Olov
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Bertilson, Michael
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics.
    High-aspect-ratio germanium zone plates fabricated by ractive ion etching in chlorine2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 2, p. L1-L3Article in journal (Refereed)
    Abstract [en]

    This article describes the fabrication of soft x-ray germanium zone plates with a process based on reactive ion etching (RIE) in Cl-2. A high degree of anisotropy is achieved by sidewall passivation through cyclic exposure to air. This enables structuring of higher aspect ratios than with earlier reported fabrication processes for germanium zone plates. The results include a zone plate with a 30 nm outermost zone width and a germanium thickness of 310 tun having a first-order diffraction efficiency of 70% of the theoretical value. 25 nm half-pitch gratings were also etched into 310 nut of germanium. Compared to the electroplating process for the commonly used nickel zone plates, the RIE process with Cl-2, for germanium is a major improvement in terms of process reproducibility.

  • 23. Lu, Y.
    et al.
    Hall, S.
    Tan, L. Z.
    Mitrovic, I. Z.
    Davey, W. M.
    Raeissi, B.
    Engström, O.
    Cherkaoui, K.
    Monaghan, S.
    Hurley, P. K.
    Gottlob, H. D. B.
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Leakage current effects on C-V plots of high-k metal-oxide-semiconductor capacitors2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 1, p. 352-355Article in journal (Refereed)
    Abstract [en]

    With the employment of ultrathin, high dielectric constant gate materials in advanced semiconductor technology, the conventional capacitance-voltage measurement technique exhibits a series of anomalies. In particular, a nonsaturating increase in the accumulation capacitance with reducing measurement frequency is frequently observed, which has not been adequately explained to our knowledge. In this article, the authors provide an explanation for this anomaly and hence set a criterion for the lower bound on measurement frequency. We then present a model which allows the easy extraction of the required parameters and apply it to an experimental set of data.

  • 24.
    Luo, Jun
    et al.
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Qiu, Zhi-Jun
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Zhang, Zhen
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Östling, Mikael
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Zhang, Shi-Li
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Interaction of NiSi with dopants for metallic source/drain applications2010In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 28, no 1, p. C1I1-C1I11Article in journal (Refereed)
    Abstract [en]

    This work has a focus on NiSi as a possible metallic contact for aggressively scaled complementary metal oxide semiconductor devices. As the bulk work function of NiSi lies close to the middle of Si bandgap, the Schottky barrier height (SBH) of NiSi is rather large for both electron (similar to 0.65 eV) and hole (similar to 0.45 eV). Different approaches have therefore been intensively investigated in the literature aiming at reducing the effective SBH: dopant segregation (DS), surface passivation (SP), and alloying, in order to improve the carrier injection into the conduction channel of a field-effect transistor. The present work explores DS using B and As for the NiSi/Si contact system. The effects of C and N implantation into Si substrate prior to the NiSi formation are examined, and it is found that the presence of C yields positive effects in helping reduce the effective SBH to 0.1-0.2 eV for both conduction polarities. A combined use of DS or SP with alloying could be considered for more effective control of effective SBH, but an examination of undesired compound formation and its probable consequences is necessary. Furthermore, an analysis of the metal silicides that have a small "intrinsic" SBH reveals that only a very small number of them are of practical interest as most of the silicides require either a high formation temperature or possess a high specific resistivity.

  • 25.
    Mi, Wujun
    et al.
    KTH, School of Engineering Sciences (SCI), Physics, Physics of Medical Imaging.
    Nillius, Peter
    KTH, School of Engineering Sciences (SCI), Physics, Physics of Medical Imaging.
    Efficient proximity effect correction method based on multivariate adaptive regression splines for grayscale e-beam lithography2014In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 32, no 3, article id 031602Article in journal (Refereed)
    Abstract [en]

    Grayscale electron beam lithography is an important technique to manufacture three-dimensional (3D) micro- and nano-structures, such as diffractive optical devices and Fresnel lenses. However, the proximity effect due to the scattering of electrons may cause significant error to the desired 3D structure. Conventional proximity correction methods depend on the exposure energy distribution which sometimes is difficult to obtain. In this study, the authors develop a novel proximity effect correction method based on multivariate adaptive regression splines, which takes exposure energy and development into consideration simultaneously. To evaluate the method, a Fresnel lens was fabricated through simulation and experiment. The measurements demonstrate the feasibility and validity of the method.

  • 26. Mulot, M.
    et al.
    Anand, Srinivasan
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Swillo, Marcin
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Qiu, Min
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Jaskorzynska, Bozena
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Talneau, A.
    Low-loss InP-based photonic-crystal waveguides etched with Ar/Cl-2 chemically assisted ion beam etching2003In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 21, no 2, p. 900-903Article in journal (Refereed)
    Abstract [en]

    We demonstrate low-loss photonic-crystal (PC) waveguides realized in InP by Ar/Cl-2 based chemically assisted ion,beam etching. The waveguides are obtained as line defects in a triangular lattice of holes etched through a three-layer InP/GaInAsP/InP heterostructure. By optimizing the etching parameters so that the physical and the chemical components are balanced we succeed in obtaining holes deeper than 2 mum even for a hole diameter as small as 220 nm. The quality of the PCs etched by two different process conditions is compared by using the shape and the position of one of the mode gaps as an assessment tool;The measured transmissions spectra indicate that the PC waveguides etched with an optimized process exhibit losses smaller than 1 dB/100 mum. This is to date the lowest loss value reported for PC waveguides in semiconductor heterostructures at optical communication wavelengths.

  • 27.
    Mulot, Mikael
    et al.
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Anand, Srinivasan
    KTH, Superseded Departments (pre-2005), Microelectronics and Information Technology, IMIT.
    Ferrini, R.
    Wild, B.
    Houdre, R.
    Moosburger, J.
    Forchel, A.
    Fabrication of two-dimensional InP-based photonic crystals by chlorine based chemically assisted ion beam etching2004In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 22, no 2, p. 707-709Article in journal (Refereed)
    Abstract [en]

    Two-dimensional photonic crystals (PhCs) were etched into InP/(Ga,In)(As,P) planar waveguides using chlorine-based chemical assisted ion beam etching (CAIBE). The processed PhCs were optically characterized by measuring transmission through simple slabs and one-dimensional cavities. The optical performances inside the photonic band gap are much better compared to both previously reported CAIBE results and results obtained with other etching methods. In particular, we measured a record quality factor of 310 for one-dimensional cavities fabricated in this material system.

  • 28.
    Rehbein, Stefan
    et al.
    KTH, Superseded Departments (pre-2005), Physics.
    Holmberg, Anders
    KTH, Superseded Departments (pre-2005), Physics.
    Johansson, Göran A.
    KTH, Superseded Departments (pre-2005), Physics.
    Jansson, Per
    KTH, Superseded Departments (pre-2005), Physics.
    Hertz, Hans
    KTH, Superseded Departments (pre-2005), Physics.
    Fabrication and characterization of a condenser zone plate for compact x-ray microscopy2004In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 22, no 3, p. 1118-1122Article in journal (Refereed)
    Abstract [en]

    We describe the in-house fabrication and characterization of a condenser zone plate for a compact laser-plasma-based soft x-ray microscope operating at 2.478 nm wavelength. The fabricated condenser has a diameter of 4.53 mm and an outermost zone width of 49 nm. The pattern is generated by a small-write-field e-beam lithography system and 656 single, 100 mum wide write fields are stitched together to obtain the whole pattern. An in-house method based on a laser-plasma source was developed to characterize the condenser zone plate with regards to diffraction efficiency and imaging properties. The measured groove efficiency of the fabricated condenser zone plate was determined to 11%+/-2% and the imaging properties were found to follow the expectations concerning the object field illumination purpose in the x-ray microscope. The in-house characterization method allows faster process improvement in the small-scale laboratory compared to presently used synchrotron-based methods.

  • 29.
    Reinspach, Julia
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Lindblom, Magnus
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Bertilson, Michael
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    von Hofsten, Olov
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    13 nm high-efficiency nickel-germanium soft x-ray zone plates2011In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 29, no 1, p. 011012-Article in journal (Refereed)
    Abstract [en]

    Zone plates are used as objectives for high-resolution x-ray microscopy. Both high resolution and high diffraction efficiency are crucial parameters for the performance of the lens. In this article, the authors demonstrate the fabrication of high-resolution soft x-ray zone plates with improved diffraction efficiency by combining a nanofabrication process for high resolution with a process for high diffraction efficiency. High-resolution Ni zone plates are fabricated by applying cold development of electron-beam-patterned ZEP 7000 in a trilayer-resist process combined with Ni-electroplating. High-diffraction-efficiency Ni-Ge zone plates are realized by fabricating the Ni zone plate on a Ge film and then using the finished zone plate as etch mask for anisotropic CHF3 reactive ion etching into the underlying Ge, resulting in a Ni-Ge zone plate with improved aspect ratio and zone plate efficiency. Ni-Ge zone plates with 13 nm outermost zone width composed of 35 nm Ni on top of 45 nm Ge were fabricated. For comparable Ni and Ni-Ge zone plates with an outermost zone width of 15 nm, the diffraction efficiency was measured to be 2.4% and 4.3%, respectively, i.e., an enhancement of a factor of 2.

  • 30.
    Reinspach, Julia
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Lindblom, Magnus
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    von Hofsten, Olov
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Bertilson, Michael
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Cold-developed electron-beam-patterned ZEP 7000 for fabrication of 13 nm nickel zone plates2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 6, p. 2593-2596Article in journal (Refereed)
    Abstract [en]

    Cold development was applied to improve the resolution in a trilayer resist that is used for the fabrication of state-of-the-art soft x-ray microscopy zone plates. By decreasing the temperature of the hexyl acetate developer to -50 degrees C, 11 nm half-pitch gratings have been resolved in the electron-beam resist ZEP 7000. 12 nm half-pitch gratings have been successfully transferred, via the intermediate SiO2 hardmask, into the bottom polyimide layer by CHF3 and O-2 reactive ion etching. The trilayer resist, including optimized cold development, has finally been used in an electroplating-based process for the fabrication of nickel zone plates. Zone plates with down to 13 nm outermost zone width have been fabricated and 2.4% average groove diffraction efficiency has been measured for zone plates with 15 nm outermost zone width and a nickel height of 55 nm.

  • 31.
    Reinspach, Julia
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Uhlén, Fredrik
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Twelve nanometer half-pitch W–Cr–HSQ trilayer process for soft x-ray tungsten zone plates2011In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 29, no 6, p. 06FG02-1-06FG02-4Article in journal (Refereed)
    Abstract [en]

    The authors describe a new W–Cr–HSQ trilayer nanofabrication process for high-resolution and high-diffraction-efficiency soft x-ray W zone-plate lenses. High-resolution HSQ gratings were first fabricated by electron-beam lithography and high-contrast development in a NaCl/NaOH solution. The HSQ pattern was then transferred to the Cr layer by RIE with Cl2/O2, and subsequently to the W layer by cryogenic RIE with SF6/O2. The anisotropy of the W etch as a function of substrate temperature was investigated, and the best etch profile was achieved at −50 °C. Using this optimized process, W gratings with half-pitches down to 12 nm and a height of 90 nm were fabricated. For a zone plate with corresponding parameters, this would result in a theoretical diffraction efficiency of 9.6% (at λ = 2.48 nm), twice as high as has been reported previously.

  • 32. Sadowski, J.
    et al.
    Domagala, J. Z.
    Bak-Misiuk, J.
    Kolesnik, S.
    Sawicki, M.
    Swiatek, K.
    Kanski, J.
    Ilver, L.
    Ström, Valter
    KTH, Superseded Departments (pre-2005), Materials Science and Engineering.
    Structural and magnetic properties of molecular beam epitaxy grown GaMnAs layers2000In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 18, no 3, p. 1697-1700Article in journal (Refereed)
    Abstract [en]

    GaMnAs layers with Mn contents from 0.05% to 7% were grown by low temperature molecular beam epitaxy. At substrate temperatures lower than 300 degrees C and in this composition range a uniform ternary GaMnAs compound can be grown without MnAs precipitation. Reflection high energy electron diffraction intensity oscillations recorded during GaMnAs growth were used to calibrate the composition of the GaMnAs films with high accuracy (better than 0.1%). Films containing more than 1% Mn exhibit a ferromagnetic phase transition with Curie temperatures from a few up to 70 K depending on the composition and other growth parameters. In contrast to previous reports we have observed this transition also in the case of layers grown at very low substrate temperatures (below 300 degrees C).

  • 33.
    Shahid, Naeem
    et al.
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Naureen, Shagufta
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Li, Min Yue
    KTH, School of Electrical Engineering (EES), Sound and Image Processing.
    Swillo, Marcin
    KTH, School of Information and Communication Technology (ICT), Optics and Photonics, Quantum Electronics and Quantum Optics, QEO.
    Anand, Srinivasan
    KTH, School of Information and Communication Technology (ICT), Material Physics, Semiconductor Materials, HMA.
    Novel postetch process to realize high quality photonic crystals in InP2011In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 29, no 3, p. 031202-Article in journal (Refereed)
    Abstract [en]

    Thermally driven reflow of material during annealing was positively used to obtain near-vertical sidewall profiles for high-aspect-ratio nanostructures in InP fabricated by dry etching. This is very promising for achieving high optical quality in photonic crystal (PhC) components. Nearly cylindrical profiles were obtained for high-aspect-ratio PhC holes with diameters as small as 200350 nm. Mini stop bands (MSBs) in line-defect PhC waveguides were experimentally investigated for both as-etched and reshaped hole geometries, and their spectral characteristics were used to assess the quality of PhC fabrication. The spectral characteristics of the MSB in PhC waveguides with reshaped holes showed significant improvement in performance with a transmission dip as deep as 35 dB with sharp edges dropping in intensity more than 30 dB for similar to 4 nm of wavelength change. These results show potential for using high extinction drop-filters in InP-based monolithic photonic integrated circuit applications. Finally, it is proposed that other nanostructure geometries may also benefit from this reshaping process.

  • 34. Song, Yuxin
    et al.
    Wang, Shumin
    Roy, Ivy Saha
    Shi, Peixiong
    Hallén, Anders
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Growth of GaSb1-xBix by molecular beam epitaxy2012In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 30, no 2, p. 02B114-Article in journal (Refereed)
    Abstract [en]

    Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi concentration in the samples was found to increase with increasing growth temperature and Bi flux. The position of GaSb1-xBix layer peak in XRD rocking curves is found to be correlated to Bi composition. Surface and structural properties of the samples were also investigated. Samples grown on GaSb and GaAs substrates were compared and no apparent difference for Bi incorporation was found.

  • 35.
    Sychugov, Ilya
    et al.
    National Institute for Materials Science.
    Nakayama, Yoshiko
    National Institute for Materials Science.
    Mitsuishi, Kazutaka
    National Institute for Materials Science.
    Measuring interface electrostatic potential and surface charge in a scanning electron microscope2009In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 27, no 6, p. 2357-2360Article in journal (Refereed)
    Abstract [en]

    A novel method for electrostatic potential measurements at the interface is described. It involves placing a two-dimensional grid below the sample and observing it in a scanning electron microscope. Primary electron beam displacement, caused by surface charges, can be then measured for every grid knot. Using geometric parameters of the setup, a quantitative mapping of the potential can be extracted. It is shown that this method can achieve a tens of millivolt sensitivity and a submicron spatial resolution in electrostatic potential measurements.

    Download full text (pdf)
    fulltext
  • 36.
    Uhlén, Fredrik
    et al.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Lindqvist, Sandra
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Nilsson, Daniel
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Reinspach, Julia
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Vogt, Ulrich
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Hertz, Hans M.
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    Holmberg, Anders
    KTH, School of Engineering Sciences (SCI), Applied Physics, Biomedical and X-ray Physics.
    New diamond nanofabrication process for hard x-ray zone plates2011In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 29, no 6, p. 06FG03-1-06FG03-4Article in journal (Refereed)
    Abstract [en]

    The authors report on a new tungsten-hardmask-based diamond dry-etch process for fabricating diamond zone plate lenses with a high aspect ratio. The tungsten hardmask is structured by electron-beam lithography, together with Cl2/O2 and SF6/O2 reactive ion etching in a trilayer resist-chromium-tungsten stack. The underlying diamond is then etched in an O2 plasma. The authors demonstrate excellent-quality diamond gratings with half-pitch down to 80 nm and a height of 2.6 μm, as well as zone plates with a 75 μm diameter and 100 nm outermost zone width. The diffraction efficiency of the zone plates is measured to 14.5% at an 8 keV x-ray energy, and the imaging properties were investigated in a scanning microscope arrangement showing sub-100-nm resolution. The imaging and thermal properties of these lenses make them suitable for use with high-brightness x-ray free-electron laser sources.

  • 37. Valcheva, E
    et al.
    Paskova, T
    Ivanov, I G
    Yakimova, R
    Wahab, Q
    Savage, S
    Nordell, N
    Harris, C I
    B implantation in 6H-SiC: Lattice damage recovery and implant activation upon high-temperature annealing1999In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 17, no 3, p. 1040-1044Article in journal (Refereed)
  • 38. Vratzov, B
    et al.
    Fuchs, A
    Lemme, Max C.
    AMO GmbH, AMICA, Aachen, Germany.
    Henschel, W
    Kurz, H
    Large scale ultraviolet-based nanoimprint lithography2003In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 21, no 6, p. 2760-2764Article in journal (Refereed)
    Abstract [en]

    Limits in resolution and accuracy of large scale ultraviolet (UV)-based nanoimprint lithography using rigid quartz molds and spin coated UV curable resists are presented. The resolution and precision parameters are closely followed from pattern in the mold through imprints in the resist and finally compared with structures transferred into silicon by special etching processes. Specific attention is paid to the simultaneous patterning of nano and microscale structures. The applicability for functional nanoelectronic components is demonstrated by the fabrication of an NMOS transistor based on SOL whose channel width is reduced to 50 nm. (C) 2003 American Vacuum Society.

  • 39.
    Zhang, Zhibin
    et al.
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Zhang, Shi-Li
    KTH, School of Information and Communication Technology (ICT), Integrated Devices and Circuits.
    Campbell, E. E. B.
    All-around contact for carbon nanotube field-effect transistors made by ac dielectrophoresis2006In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 24, no 1, p. 131-135Article in journal (Refereed)
    Abstract [en]

    Carbon nanotube field-effect transistors (CNFETs) are fabricated by depositing one bundle of sinole-walled carbon nanotubes (SWNTs) per device between a pair of predefined Pd electrodes using ac dielectrophoresis. By repeating the process for the formation of the Pd electrodes after the bundle deposition, all-around Pd contacts are made to the SWNT bundles. After the formation of all-around contact, the CNFETs with only semiconducting SWNTs in the bundles retain a strong a 106,ate modulation with a high ratio of on to off current I-on/I-off For the CNFETs with at least one metallic SWNT in the bundles, their gate modulation disappears and carbon nanotube resistors (CNRs) are obtained. The on current I-on of CNFETs is found to be sensitive to the process for the formation of all-around contact. In contrast, the two-probe resistance of CNRs is consistently reduced after the all-around contacts. The electrical measurements also indicate the presence of an interlayer residing at the SWNT/Pd contacts.

  • 40. Zimmermann, J
    et al.
    Scherer, H
    Kamp, M
    Deubert, S
    Reithmaier, J P
    Forchel, A
    Marz, R
    Anand, Srinivasan
    KTH, Superseded Departments (pre-2005), Electronics.
    Photonic crystal waveguides with propagation losses in the 1 dB/mm range2004In: Journal of Vacuum Science & Technology B, ISSN 1071-1023, E-ISSN 1520-8567, Vol. 22, no 6, p. 3356-3358Article in journal (Refereed)
    Abstract [en]

    High-quality photonic crystal waveguides have been fabricated in the InGaAsP/InP and GaAs/AlGaAs material systems aimed at the communication wavelengths of 1.55 and 1.31 mum. The waveguides consist of omitted rows of holes in a triangular lattice of air holes etched into the semiconductor heterostructures by electron cyclotron resonance reactive ion etching. Efficient waveguiding has been observed in optical transmission measurements, with waveguide losses ranging from 1.5 dB/mm for a waveguide with three missing row of holes (W3) to 0.2 dB/mm for seven missing rows (W7). (C) 2004 American Vacuum Society.

1 - 40 of 40
CiteExportLink to result list
Permanent link
Cite
Citation style
  • apa
  • ieee
  • modern-language-association-8th-edition
  • vancouver
  • Other style
More styles
Language
  • de-DE
  • en-GB
  • en-US
  • fi-FI
  • nn-NO
  • nn-NB
  • sv-SE
  • Other locale
More languages
Output format
  • html
  • text
  • asciidoc
  • rtf